Document Type

Conference Paper

Journal/Book Title/Conference

2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)

Publisher

I E E E Computer Society

Publication Date

11-7-2016

Funder

National Science Foundation

Abstract

While near threshold design space provides a promising approach towards energy-efficient computing, it is plagued by sub-optimal performance. Application characteristics and hardware non-idealities of conventional architectures (optimized for the nominal voltage) prevent us from fully leveraging the potential of NTC systems. Further, the popular approach of increasing the computational core count to compensate for the performance loss severely burdens the on-chip communication fabric with an increased communication demand. In this work, we quantitatively analyze the performance bottleneck createdby a conventional NoC architecture in many-core NTC systems. To reclaim the performance lost due to a sub-optimal NoC, we propose BoostNoC - a power efficient, multi-layered network-on-chip architecture. BoostNoC improves the system performance by nearly 2x over a conventional NTC system. Further, we improve the energy efficiency by 1.4x with the use of drowsy routers.

Comments

© 2016 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising or promotional purposes, creating new collective works, for resale or redistribution to servers or lists, or reuse of any copyrighted component of this work in other works.

Share

COinS